Shenzhen shenkeda Semiconductor Technology Co., Ltd.

Group Stock Code:688328

Service hotline:

+86 0752-5880-900(8280)
6666-->
4Industry Information

The United States has world-class semiconductor equipment companies, such as applied materials, Lam and KLA. These companies have a global market share of more than 40%, and all manufacture semiconductor key equipment, such as etching, deposition and testing. However, it is surprising that although the United States has tightened its semiconductor export regulations to curb China's progress in the high-tech field, China's purchase of American equipment has increased

According to the analysis of ET news, in the first quarter of this year, the total amount of semiconductor equipment sold by the United States to China was .271 billion, an increase of about 20% over the previous quarter (.063 billion). From January to May this year, US semiconductor equipment exports to China totaled US .196 billion, an increase of 55% over US .412 billion in the same period last year

On the one hand, the reason for the above situation is that China must obtain semiconductor equipment as soon as possible and prepare for possible additional sanctions by the United States by purchasing equipment. At present, only semiconductor equipment for 10nm and more advanced processes is subject to export control, but equipment for 10nm and above processes can be included in the export ban at any time. Like a raging fire, the Chinese mainland's semiconductor consumer market is booming.

The consumption power of semiconductor equipment has increased greatly

At the expense side,

is shifting to the Chinese mainland as wafer production capacity increases. The demand for semiconductor equipment is increasing rapidly. The 2020 largest fiscal year of fiscal year data for the US semiconductor equipment manufacturer, the fiscal year of the year, shows that the revenue in the fiscal year is US billion 200 million, of which the revenue from Chinese mainland is US billion 460 million, which is 31.7%, and has been increasing for 5 consecutive years. Chinese mainland surpasses Korea and China Taiwan, becoming the largest semiconductor equipment market in the world.

also, Lam has a revenue of billion 45 million in fiscal 2020, and the Chinese mainland market has contributed 31% of revenue, which is more than Korea's largest revenue market. The Chinese mainland's revenue in the first two quarters of the 2021 fiscal year of Tokyo electronics reached 153 billion yen, accounting for 24% of its revenue. The Chinese mainland's revenue from the mainland's two quarters before the 2021 fiscal year has surpassed that of Korea and has become the largest revenue market. In addition, the largest market for ASML DUV lithography is Chinese mainland.

According to SEMI's statistics and forecasts, Chinese mainland market demand for semiconductor devices has exceeded all other markets in the world and

has great potential for growth, which is largely driven by memory chip manufacturing. This is because manufacturing capacity is the core competitiveness of memory manufacturers, which promotes large-scale and long-term investment. The two major characteristics of the memory industry are the combination of manufacturing process and production capacity. The reason is that the storage chip technology has a high degree of standardization. The product capacity and packaging form of each manufacturer follow the standard interface. Under the homogeneous competition, the storage manufacturers improve the manufacturing process, enhance the production capacity, and use the scale advantage to reduce the cost, so as to enhance their competitiveness< br> Under such circumstances, Chinese mainland memory manufacturers are actively carrying out technological research and development and capacity building, and require long-term and large-scale equipment input.

In the past few years,

has launched a wave of construction of storage chip manufacturing plants in Chinese mainland. It represents the main business of the Yangtze River storage, which mainly produces 3D NAND flash memory, focusing on DRAM's Hefei Changxin and Fujian Jinhua. Among them, Changjiang storage and Hefei Changxin have entered the production capacity climbing period in 2020, and the demand for corresponding equipment is very urgent

Taking Changjiang storage as an example, according to the statistics of Jibang consulting, the company's production capacity in the fourth quarter of 2019 is about 20000 pieces / month (12 inches), which is expected to expand to 70000 pieces / month by the end of 2020 and 300000 pieces / month by 2023. According to the information released by Hubei Provincial Development and Reform Commission, the investment of Changjiang storage phase I is 5.695 million yuan (corresponding to 100000 pieces / month capacity), of which the investment in 2018 and 2019 is 2 million yuan and 500000 yuan respectively

In addition, Ziguang group has announced that it has successively launched the construction of integrated circuit bases in Nanjing, Chengdu and Chongqing, with a total investment scale of 100 billion. Among them, it plans to invest 30 billion US dollars in Ziguang Nanjing memory manufacturing base. According to the information released by Nanjing Municipal People's government, Ziguang Nanjing memory manufacturing base (phase I) plans to invest 80 billion yuan. In the current situation, the Chinese mainland's main memory factories are expected to invest in 32 billion 170 million yuan, 49 billion 500 million yuan, 80 billion 600 million yuan and 111 billion 630 million yuan in 2019-2022 years. This money will make a great contribution to the prosperity of the corresponding semiconductor equipment market

Improvement of local equipment supply capacity

Chinese mainland has strong consumption capacity of semiconductor equipment, so the major semiconductor equipment manufacturers are watching this cake closely. However, on the supply side, China's local equipment manufacturers have little influence in the global market, so it is difficult to put pressure on large international manufacturers

However, with the intensification of trade barriers, the tenacious growth of local equipment manufacturers and the strong support of the government, local equipment manufacturers have more room for trial and error and growth, and the order volume has increased significantly in the past two years. Statistics show that many local semiconductor equipment enterprises have won big orders. In the fourth quarter of 2020, domestic equipment manufacturers won the bid for 82 sets, with a year-on-year increase of 100%. The order cycle is 2-3 quarters. The revenue is confirmed in 2021, and the domestic market share of many equipment has increased significantly by more than 10%

The revenue of domestic semiconductor equipment enterprises has successively exceeded the profit inflection point of RMB 700-1 billion (according to the statistics of domestic and foreign equipment enterprises, the revenue of RMB 700-1 billion is the profit inflection point range)

With this momentum, the localization rate of China's semiconductor equipment is expected to continue to increase in 2021. It is expected to occupy a place in the highly competitive international semiconductor equipment market

Let's take a look at the development of Chinese local equipment enterprises in WFE market

In terms of etching machines, Lam, Tel and applied materials have achieved full coverage of silicon etching, medium etching and metal etching, accounting for more than 80% of the global dry etching machine market

In the Chinese market, dielectric etcher is the most advantageous semiconductor equipment in China. At present, among the mainstream equipment in China, the localization rate of degumming equipment, etching equipment, heat treatment equipment and cleaning equipment has reached more than 20%. Among them, the largest market is etching equipment, and the representative manufacturers are Zhongwei company, North Huachuang and Yitang semiconductor

Medium micro semiconductor is strong in the field of dielectric etching. Its products have been mass produced on more than 20 production lines including TSMC, SK Hynix, SMIC and other manufacturers. The company's 5nm plasma etcher has been verified by TSMC and has been used in the world's first 5nm process production line. Medium micro semiconductor has also cut into the field of TSV silicon through-hole etching and metal hard mask etching

North Huachuang is strong in the field of silicon etching and metal etching. Its 55nm / 65nm silicon etching machine has become the main equipment of SMIC international. The company's 28nm silicon etching machine has also entered the industrialization stage. The 14nm silicon etching machine is in the process of production line verification, and the metal hard mask etching machine has broken the 28nm-14nm process< br> In terms of physical film deposition (PVD), applied materials dominate, accounting for more than 80% of the global market share. In terms of chemical deposition (CVD), applied materials, Lam research and Tel account for more than 70% of the global market share

Among Chinese equipment manufacturers, North Huachuang has the largest variety of film deposition equipment products. Its 28nm hard mask PVD has achieved mass production, and copper interconnection PVD, 14nm hard mask PVD, Al PVD, LPCVD and ALD (atomic deposition) equipment have entered the stage of production line verification. In April 2020, North Huachuang announced that its theorissn302d 12 inch silicon nitride deposition equipment entered the leading enterprise of domestic integrated circuit manufacturing. The delivery of the equipment means that the domestic vertical LPCVD equipment has made great progress in the application expansion in the field of advanced integrated circuit manufacturing

In addition, MOCVD of medium micro semiconductor has realized domestic substitution in China. Shenyang tuojing 65nm PECVD has achieved mass production

In terms of ion implantation, manufacturers mainly include application materials and Axcelis. Most of the ion implanters used in China's production lines rely on imports

In China, Beijing Zhongkexin, China Electronics 48 Institute and Shanghai kaishitong can also provide a small number of products. Among them, Zhongkexin has the mass production capacity of different types of ion implanters (low-energy large beam, medium beam and high-energy)

In terms of cleaning equipment, at present, trough wafer cleaning machine accounts for about 20% of the whole cleaning process, and the market has been gradually replaced by single wafer cleaning machine. The main manufacturers of grooved wafer cleaning machines are deans, Tel and jet, which account for more than 75% of the global market share

Among China's wafer wet cleaning equipment manufacturers, the spatial alternating phase shift (SAPS) megasonic cleaning equipment and sequential cavitation oscillation control (Tebo) megasonic cleaning equipment exclusively developed by shengmei semiconductor have successfully entered the integrated circuit production lines in Korea and China. North Huachuang's cleaning equipment has also successfully entered the SMIC international production line

According to the statistics of China International Tendering network, among the more than 200 cleaning equipment purchased by Changjiang storage, Huahong Wuxi and Shanghai Huali phase II projects, the suppliers are sorted according to the winning number, followed by deans, shengmei, Lam, Tel and North Huachuang, accounting for 48%, 20.5%, 20%, 6% and 1% respectively

In terms of polishing machine (CMP), the main manufacturers are applied materials and Ebara in Japan, in which applied materials account for about 60% of the global CMP equipment market and Ebara accounts for about 20%. In China, the main R & D units of CMP equipment include Tianjin Huahai Qingke and 45 Institutes of CETC, of which Huahai Qingke's polishing machine has been tried on SMIC international production line

Variable

For China's semiconductor equipment market, the person in charge of a Korean semiconductor equipment company said that China's "hoarding" of semiconductor equipment directly or indirectly affects the Korean semiconductor industry. First of all, China's continuous procurement of semiconductor equipment will bring export opportunities to Korean equipment enterprises, which is a positive factor for Korean semiconductor equipment industry

In fact, not only South Korea, but also Japan is expected to benefit from this wave of ban and equipment procurement boom

At present, there is still a big gap between the market share of semiconductor equipment in South Korea and Japan, and the market share of semiconductor equipment in Japan is second only to the United States in the world

In Japan, in addition to Tokyo electronics, which ranks third in the world, there are many semiconductor equipment manufacturers in the top 15 in the world, such as screen, Hitachi high technologies, Hitachi Kokusai, Daifuku, Nikon and advantage

In South Korea, compared with Japan, the number and market influence of semiconductor equipment manufacturers are relatively limited. The most famous is semes, which was established in 1993. It is the largest semiconductor equipment factory in Korea, mainly producing cleaning, lithography and packaging equipment

With the support of the government, small and medium-sized semiconductor equipment manufacturers in South Korea are complacent and are expected to achieve rapid growth

On behalf of manufacturers such as jusung engineering, which produces semiconductor, flat panel display and solar cell production equipment. Although the company struggled hard in 2020 and the orders of local customers decreased, with the support of the government, it is expected to resume growth this year. Major semiconductor and display equipment customers have resumed investment. In recent months, orders from Chinese customers have also resumed. Jusung signed a contract with LG display to provide 17.5 billion won of display manufacturing equipment in November 2020. It also signed a display equipment supply agreement with infovision Optoelectronics in China. The company is increasing its R & D investment in next-generation equipment. In the first three quarters of 2020, it has invested 38.3 billion won, accounting for 43.3% of the company's sales

China's long-term demand for semiconductor equipment is strong, and the US ban is difficult to circumvent. Therefore, in the next few years, semiconductor equipment manufacturers in Korea and Japan are very likely to have a big wave of business opportunities. p>

In addition, with the increase of China's semiconductor equipment procurement, the shortage of equipment supply may worsen. Due to the global shortage of semiconductor supply, the supply period of semiconductor equipment becomes longer, and China's "hoarding" is likely to exacerbate this situation. In fact, due to the extension of equipment delivery period and concerns about the imbalance between supply and demand, SK Hynix is investing part of its investment next year in the second half of this year. In addition, for equipment manufacturers in Japan and South Korea, China's investment in local semiconductor equipment is becoming a "negative" signal, because it means that potential competitors in the semiconductor equipment industry in Japan and South Korea are rising

The head of a semiconductor equipment company in South Korea said that due to the increase of procurement in China, it is becoming more and more difficult for other semiconductor manufacturers to purchase equipment. This may also be a variable in the global semiconductor equipment market

Semiconductor industry observation